How ASML’s EUV Lithography Technology Made It Europe’s Most Valuable Company

The critics of EUV said that it was too difficult and expensive to develop, and that it would never be practical for mass production. They also said that there were other technologies, such as electron beam lithography, that could achieve the same results.
Share this STORY

Introduction

The significance of transistor miniaturization, whether at 5nm or 3nm sizes, has been pivotal in driving advancements in silicon technology and the competitive landscape of chip manufacturing. ASML’s $150 million extreme ultraviolet (EUV) photolithography machine takes center stage in the production of 5nm chips.

Curiously, the epicenter of the $600 billion semiconductor innovation is not in the expected regions like Silicon Valley, Taiwan, Japan, or South Korea. Instead, it resides in Eindhoven, Netherlands—the birthplace of ASML’s dominance in the semiconductor industry.

. This machine holds a unique capability, projecting intricate chip designs onto silicon wafers to create incredibly small dimensions, a feat unmatched by any other entity worldwide.

The journey to ASML’s remarkable success is straightforward: a relentless pursuit of pushing the boundaries of the three factors in the Rayleigh criterion equation, resulting in ever-smaller critical dimensions.

Also Read: The $400 B Semiconductor Cluster That Made Korea a Powerhouse

ASML’s & TSMC Nexus

ASML’s sources components from almost 5000 suppliers. Many of them are the top performers in their respective areas. For example, Carl Zeiss, a German optics firm, supplies custom-built lenses. Similarly, a Dutch company, VDL, makes robotic arms for feeding wafers.

TSMC acquires the necessary equipment from ASML, who meticulously assemble various components for the machine. These components range from exceptionally flat mirrors to highly potent lasers employed in commercial setups, including tin balls.

While sourcing tin balls is likely a straightforward task, ASML’s is responsible for manufacturing the complete machine, which is subsequently sold to TSMC. TSMC then utilizes this machine to manufacture chips for iPhones and other devices.

Transporting the machine alone necessitates multiple Boeing 747 aircraft, each with a cost of $150 million.

ASML staff remain present alongside the machine throughout its entire lifespan.

ASML possesses exclusive expertise in servicing and supplying spare parts, making them the sole resource when issues arise. Operating these machines without ASML staff is impractical.

The semiconductor industry for the past 50 years has been working in a tunnel.

~Mark Liu, Chairman, TSMC

US outsourced lithography

The idea of lithography, which is using light to create patterns on silicon wafers, was developed in the late 1950s in the US. It became important for making computer chips.

The US was the birthplace of the chip industry, especially in places like Texas and Silicon Valley. So, American companies were the first ones to use lithography for making chips.

In the 1980s and 1990s, the chip industry wanted to use a more advanced kind of lithography called EUV (extreme ultraviolet) lithography.

This refers to a special kind of light used in these systems. Intel and some other US chip companies funded a lot of the research. They worked with US national labs, which had the right equipment to create the special UV light needed for this process. However, no US company could make this equipment for commercial use.

Even though the research and technology mostly happened in California, a company called ASML’s, which was already making older types of lithography tools, had the ability to turn this science into something that could be used on a large scale.

In 2017, the company introduced the first extreme ultraviolet (EUV) lithography system, which is a major breakthrough in the industry. EUV lithography uses light with a wavelength of 13.5 nanometers, which is much shorter than the wavelength of light used in traditional lithography systems. This allows for the creation of even smaller and more complex circuits.

Read More: Follow us on Linkedin for everything around Semiconductors & AI

The Evolution of ASML’s

During the late 1980s, American companies P&E, Ultratech, and Eaton faced difficulties, leading to Japan’s Nikon and Canon dominating the market.

ASML’s, initially founded by only 31 employees in a simple wooden room near the Philips building, had a modest 10 percent market share in the late 1980s. However, ASML’s culture of innovation began to yield consistent improvements, transforming the 1990s into a period of shared dominance between Nikon and ASML.

Located in the tidy town of Veldhoven in the Netherlands, ASML was once dismissed as a money-draining venture under Philips, its former parent company.

Struggling to find buyers for its equipment in the 1980s, ASML’s fortunes changed after its 1995 initial public offering, which provided funding for research.

A breakthrough in DUV lithography machines elevated its market share to almost 50% in the early 2000s. However, the real game-changer came with EUV lithography.

ASML became involved in a U.S. government-led EUV consortium to gauge the technology’s market potential.

Despite hesitations from competitors, ASML made a significant bet on EUV and dedicated two decades to transitioning it from the lab to commercially viable machines.

Collaborating with U.S. lab scientists, securing investments from Intel, TSMC, and Samsung Electronics in a remarkable market partnership, acquiring key U.S. companies like Cymer and HMI, and enlisting global suppliers, ASML was well-prepared to mass-produce EUV machines by 2018. By 2021, it had acquired over 90% of the $17.1 billion global lithography equipment market.

By 2022, ASML stands as the foremost provider to the semiconductor industry, holding a unique position as the exclusive global supplier of extreme ultraviolet lithography (EUV) photolithography machines, used in creating 5nm silicon chips.

In June 2023, ASML has solidified its status as the most valuable European tech company, boasting a market capitalization of approximately $280 billion.

However, ASML’s remarkable achievements aren’t driven by a single larger-than-life figure like Steve Jobs. Instead, the company’s success stems from a disciplined and methodical approach, blending scientific understanding with precision engineering.

What is Extreme ultra violet lithography ?

Lithography is the process of creating patterns on silicon wafers by passing light through masks. These masks selectively allow light to pass through, forming miniaturized patterns on a chip’s surface.

Extreme Ultraviolet (EUV) lithography employs ultra-small light with a wavelength of 13.5 nanometers, significantly tinier than visible light wavelengths.

This is crucial because the circuits being created are minuscule, often just a couple of nanometers in size.

Generating this type of light is exceptionally challenging, given its proximity to the X-ray spectrum. The process involves pulverizing a small tin ball with powerful lasers, causing it to explode into a plasma hotter than the sun’s surface.

This plasma emits EUV light at the precise wavelength needed. A set of ultra-flat mirrors, the flattest ever created by humans, collect and reflect this light onto a silicon wafer, carving the intricate circuits that power devices like iPhones.

How ASML became so important?

In recent years, a noticeable trend has emerged in the chip industry towards consolidation, where only a few companies, and sometimes just one, possess the capability to manufacture the intricate software and machinery involved.

There are two main reasons for this trend.

Firstly, many aspects of chip manufacturing require substantial amounts of capital investment. The high costs associated with producing this specialized machinery act as a deterrent to potential competitors.

New entrants are faced with the daunting prospect of investing billions of dollars before even knowing if their product will be successful.

Secondly, the expertise and knowledge necessary to create these tools cannot be gained solely through theoretical study. It’s a skill set that develops through hands-on experience during the manufacturing process.

This practical knowledge is unique and accumulates over time. People who work on these tools within companies possess this specialized know-how that is challenging for outsiders to acquire.

This creates a significant barrier around these companies, as the particular expertise needed cannot be easily replicated.

Consequently, the combination of substantial capital requirements and specialized knowledge makes it highly challenging for potential competitors to establish themselves in the industry.

ASML (& TSMC) leverage this concentration to become most important companies on the face of earth.

The bet of the lifetime

ASML bet on EUV because it was the only technology that could enable the continued scaling of transistors beyond the limits of traditional lithography technologies.

The critics of EUV said that it was too difficult and expensive to develop, and that it would never be practical for mass production. They also said that there were other technologies, such as electron beam lithography, that could achieve the same results.

However, ASML was convinced that EUV was the right technology for the future, and they invested heavily in its development. Over the past two decades, they have overcome many of the challenges associated with EUV lithography, and they are now the only company in the world that can manufacture EUV machines.

Today, EUV lithography is essential for the production of the most advanced microchips. It is used by all of the major chipmakers, including Intel, Samsung, and TSMC. Without EUV lithography, the semiconductor industry would not be able to continue to shrink transistors and improve the performance of microchips.

In hindsight, it is clear that ASML made the right decision to bet on EUV. The critics were wrong, and ASML is now the world leader in the photolithography market.

Here are some of the specific challenges that ASML had to overcome in order to develop EUV lithography:

  • The light source for EUV lithography is very difficult to create. It requires a powerful laser that can generate light with a wavelength of 13.5 nanometers.
  • The optics for EUV lithography are also very challenging to manufacture. They must be made of very pure materials and must be extremely precise.
  • The resist materials used in EUV lithography are also very sensitive to light. They must be carefully chosen and handled in order to prevent them from being damaged.

Despite these challenges, ASML has been successful in developing EUV lithography. The company has shipped over 100 EUV machines to date, and it is continuing to invest in the technology.

As a result, EUV lithography is now the standard for the production of the most advanced microchips.

Intel denied EUV

Intel initially criticized EUV because it was a new and unproven technology. The company was concerned about the high cost and risk associated with developing and deploying EUV lithography.

Intel also believed that other technologies, such as immersion lithography, could achieve the same results at a lower cost.

However, as the technology matured and the benefits of EUV became more apparent, Intel changed its position. The company realized that EUV was the only way to continue to shrink transistors and improve the performance of microchips.

In 2017, Intel announced that it would be using EUV lithography for its next generation of chips.

Intel’s change of heart on EUV is a testament to the power of innovation. Here are some of the specific reasons why Intel changed its position on EUV:

  • The cost of EUV lithography has come down significantly.
  • The reliability of EUV lithography has improved.
  • The benefits of EUV lithography, such as the ability to create smaller and more complex circuits, have become more apparent.
  • The competition from other chipmakers, such as TSMC and Samsung, who were already using EUV lithography, forced Intel to adopt the technology.

As a result of these factors, Intel is now a major user of EUV lithography. The company has installed several EUV machines at its factories, and it is planning to use EUV lithography for all of its future chips.

The China factor

Access to advanced chip-making tools, primarily produced by companies like ASML, is a key determinant in creating advanced chips. While not a guarantee, these tools are critical bottlenecks, and only a few global companies can manufacture them, with none in China.

A decade ago, the Asian powerhouse contributed only a small portion of ASML’s revenue, barely registering as a significant factor.

However, by 2022, it had risen to become ASML’s third-largest market, trailing behind only Taiwan and South Korea. This region accounted for approximately 15% of ASML’s total revenue.

As the US envisions next-generation military and intelligence systems driven by artificial intelligence (AI), the importance of these tools grows. AI relies on data centers equipped with specialized chips like GPUs for training.

However, without the capability to mass-produce cutting-edge chips, building the required data center capacity for AI training becomes a challenge.

The US is strategically aiming to impede China’s development of advanced data centers by using these machine tools as choke points. This strategy prevents American, Japanese, and Dutch companies from transferring such equipment to China.

Several Chinese firms, most notably SMIC, have sought the capability to purchase ASML’s advanced EUV tools. Yet, Dutch regulations have hindered exports of these tools to China.

In March 2023, the Dutch government implemented restrictions on chip exports as a safeguard for national security. This move had an impact on ASML, a key player in the worldwide microchip supply network.

The new regulations, which include export license mandates, are set to become effective in September 2023.

Conclusion

ASML’s journey from its inception as a joint venture to its current status as a global leader in semiconductor manufacturing equipment is a testament to its unwavering commitment to innovation and excellence.

The company’s contributions to EUV lithography and its pivotal role in the semiconductor supply chain have solidified its place in history. As ASML continues to navigate challenges and opportunities, its legacy as a driving force behind technological progress remains indelible.

Share this STORY