Intel Foundry Updates

5 Major Updates on Intel Foundry Process Roadmap

This roadmap introduces several new advancements, reaffirms ongoing commitments, and outlines a clear path towards future breakthroughs in chip manufacturing.
Share this STORY

Introduction

In the ever-evolving landscape of semiconductor technology, Intel continues to solidify its position as a leading innovator with the announcement of its extended Intel Foundry Process Roadmap

This roadmap introduces several new advancements, reaffirms ongoing commitments, and outlines a clear path towards future breakthroughs in chip manufacturing.

Let’s delve into the key highlights and terms to better understand the significance of Intel’s latest developments.

Follow us on Linkedin for everything around Semiconductors & AI

1. Intel 14A: Advancing Leading-Edge Nodes

One of the central additions to Intel’s roadmap is the introduction of Intel 14A, a cutting-edge node that expands the company’s leading-edge node plan. This node promises enhanced performance and efficiency, further cementing Intel’s position at the forefront of semiconductor manufacturing.

Intel 14A is a next-generation semiconductor manufacturing process node that is currently under development by Intel. It is expected to be launched in late 2027 and will be the first process to use high-NA EUV (extreme ultraviolet) lithography. This new technology will allow Intel to pack more transistors onto its chips, which will improve performance and power efficiency.

Here are some of the key features of Intel 14A:

  • High-NA EUV lithography: This new type of lithography uses a higher numerical aperture (NA) to focus light onto the wafer, which allows for smaller features to be printed. This will enable Intel to pack more transistors onto its chips, which will improve performance and power efficiency.
  • RibbonFET transistors: This new type of transistor design uses gate-all-around (GAA) technology, which wraps the gate electrode around the channel. This provides better electrostatic control and allows for higher performance and lower power consumption.
  • Backside power delivery: This new technology delivers power to the chip from the backside, which frees up space on the frontside for more transistors. This will also improve thermal performance.

Read More:Intel and Cadence Collaborate for Faster Time-to-Market SoC Chips Using 18A Node – techovedas

2. Ambitious 5N4Y Roadmap

Intel’s ambitious five-nodes-in-four-years (5N4Y) roadmap remains on track, showcasing the company’s commitment to rapid innovation. This timeline aims to deliver significant advancements in chip technology, culminating in the industry’s first backside power solution. Such innovations are poised to redefine the capabilities of semiconductor devices and drive forward technological progress.

The Plan:

  • Five Node Launches: They aim to introduce five new chip manufacturing processes within four years (2021-2025). This includes Intel 7 (formerly 10nm Enhanced SuperFin), Intel 4 (formerly 7nm), Intel 3, Intel 20A, and Intel 18A.
  • Faster Innovation: This rapid development cycle signifies a departure from their previous pace, aiming to catch up with competitors like TSMC.
  • Regaining Leadership: Their goal is to reclaim their position as a leading chip manufacturer by surpassing TSMC in process technology by 2025.
Process nodes
Process nodes with area

3. Intel 18A: Regaining Process Leadership

Intel 18A is the latest and most advanced manufacturing process node currently under development by Intel. This represents a significant step forward for Intel’s chipmaking capabilities, potentially putting them back in the race with industry leader TSMC.

Looking ahead, Intel anticipates regaining process leadership with Intel 18A in 2025. This milestone reflects Intel’s dedication to pushing the boundaries of chip manufacturing and delivering state-of-the-art solutions that meet the demands of a rapidly evolving market.

4. Intel 3-T: Optimized for Advanced Packaging

Intel’s roadmap includes specialized evolutions for existing nodes, such as Intel 3-T. This iteration is optimized with through-silicon vias (TSVs) for 3D advanced packaging designs, enhancing the versatility and performance of Intel’s chip offerings. The imminent manufacturing readiness of Intel 3-T signals the company’s readiness to deploy innovative packaging solutions.

Intel 3-T:

  • Evolution of Intel 3 process: This suggests a variation of the base Intel 3 node, specifically optimized for 3D packaging using Through-Silicon Vias (TSVs).
  • TSV integration: By incorporating TSVs, Intel 3-T allows for stacking multiple silicon layers vertically, enabling denser and more complex chip designs.
  • 3D packaging benefits: This 3D approach could potentially enhance performance, power efficiency, and functionality compared to traditional 2D designs.

Overall Significance:

  • Innovation in packaging: Intel 3-T highlights Intel’s focus on advanced packaging solutions like 3D stacking, which is a growing trend in the chip industry.
  • Performance & functionality potential: If successfully implemented, this technology could lead to more powerful and versatile chips for various applications.
  • Future applications: It’s exciting to see how Intel plans to leverage 3D packaging with Intel 3-T and what kind of products we might see using this technology.

5. Joint Development with UMC: New 12 Nanometer Nodes

Collaborative efforts, such as the joint development with UMC, contribute to the roadmap’s maturity. The announcement of new 12 nanometer nodes underscores Intel’s commitment to forging strategic partnerships and leveraging collective expertise to drive technological progress.

Read More: How NVIDIA GPUs have Evolved From Tesla to Ampere to Hopper – techovedas

Continuous Evolution for Customers

Intel’s roadmap is designed to empower customers by providing a clear path for continuous evolution. With a new node planned every two years and accompanying evolutions along the way, customers can tailor their products to meet specific needs while leveraging Intel’s leading process technology.

Intel Foundry ASAT Offerings

In addition to process advancements, Intel expands its comprehensive suite of Advanced System-in-Package (ASAT) offerings. The inclusion of Intel Foundry FCBGA 2D+ alongside existing technologies like FCBGA 2D, EMIB, Foveros, and Foveros Direct underscores Intel’s commitment to providing versatile and innovative packaging solutions.

Read More:Samsung & ARM Collaborate on Next-Gen Cortex-X CPU with Latest GAA Transistors Upto 2 nm Node – techovedas

Conclusion

In conclusion, Intel’s extended process technology roadmap represents a significant milestone in the semiconductor industry. By introducing new nodes, reaffirming commitments, and expanding offerings, Intel continues to push the boundaries of innovation and solidify its position as a leader in chip manufacturing. These advancements not only drive technological progress but also empower customers to unlock new possibilities in product development and differentiation. As Intel marches forward on its roadmap, the future of semiconductor technology looks brighter than ever.

Share this STORY