What are 3 Major challenges in EUV Lithography ?

EUV is absorbed by glass, necessitating the use of mirrors for focusing, for starters. Each mirror absorbs approximately 30% of the light, and in a typical system with 10 mirrors, only a small fraction of the original power reaches the mask.

Introduction:

In the ever-evolving landscape of technology, few achievements stand out as prominently as the EUV lithography that facilitate the production of advanced semiconductor devices and Solving major challenges attached to it.

These intricate machines, consisting of the light source, optical system, and precision worktable, are a testament to the relentless pursuit of innovation and precision in the semiconductor industry.

In this blog post, we delve into the Major challenges in EUV Lithography and highlighting the remarkable journey that led to their development.

Join Our WhatsApp News

What is the importance of EUV?

EUV lithography is crucial for semiconductor manufacturing due to its ability to create smaller and more precise features on chips, enabling higher resolution and advanced technology. It overcomes limitations of previous methods, contributes to cost-effective mass production, and plays a key role in the ongoing miniaturization of electronic components.

3 Major challenges in EUV Lithography

EUV is absorbed by glass, necessitating the use of mirrors for focusing, for starters. Each mirror absorbs approximately 30% of the light, and in a typical system with 10 mirrors, only a small fraction of the original power reaches the mask.

Secondly, the need for EUV to operate within a vacuum arises from its absorption by air. Despite progress, these challenges persist even in test fabs.

A critical hurdle that remains is the power requirement. With lower power, longer exposure times are needed, resulting in lower production volumes and increased costs.

Achieving 200W of EUV power would demand a laser in the ballpark of 43kW, translating to approximately 0.5 megawatts of power consumption.

The Light Source:

The core challenge in photolithography is creating an Extreme Ultraviolet (EUV) light source with a 13.5 nm wavelength.

This involves a high-power laser targeting a tiny tin droplet, just 30 millionths of a meter in diameter, hurtling through space at around 200 miles per hour.

The laser must strike the droplet with unparalleled precision, heating it to a staggering 500,000 degrees Celsius. This process repeats at a mind-boggling rate of nearly 50,000 times per second.

The specialized lasers needed for this task are intricate. Only Germany’s Trumpf has mastered their production. This achievement followed a decade of intensive research and development.

Read More: Chipmaking Monopoly: How ASML Became Undisputed Leader in Lithography

The Optical System:

German engineering prowess takes center stage in the optical system, monopolized by Carl Zeiss AG. Renowned for their world-class camera lenses, Zeiss elevates its optical capabilities to an unparalleled level for EUV photolithography.

The challenges encompass high-precision aspheric shaping, multi-layer reflective mirrors, top-notch smelting, ion beam polishing, and ultra-precise grinding. The resulting lens must exhibit atomic-scale smoothness, likened to enlarging the lens to the size of Germany with deviations no greater than 0.1 mm.

Operating in a vacuum, this optical system stands as a testament to the marriage of scientific ingenuity and manufacturing excellence.

Read More: What is EUV that made ASML the biggest company of Europe

The Precision Worktable:

Carving billions of transistors onto a silicon wafer requires an extraordinarily precise control table, comprised of over 55,000 high-precision components.

Drawing on patented technologies from multiple countries, including Japan, South Korea, Taiwan, the U.S., Germany, and the Netherlands, this precision instrument worktable is a global collaboration.

The exclusion of any one contributor would render the entire endeavor impossible, underscoring the international cooperation required to push the boundaries of semiconductor manufacturing.

Read More: Canon Lithography Tool price will have one digit less than ASML EUVs: CEO

The Culmination: ASML’s Odyssey:

ASML, a Dutch company, is the sole producer of advanced photolithography machines. It operates as an assembly plant at the core of an intricate global supply chain.

ASML manufactures only 15% of the machine’s components, importing the remaining 85% from specialized contributors worldwide.

This collaboration and innovation led to the first commercially viable EUV photolithography machine. This was launched in 2019 after a 22-year journey of testing, optimization, and upgrades.

Read More: TSMC Vs ASML: Comparing Q3 financials of 2 Semiconductor Giants

Conclusion:

The journey to create the world’s most advanced photolithography machine is a testament to human ingenuity, perseverance, and international collaboration.

The precision of Trumpf’s lasers and the optical brilliance of Carl Zeiss AG are technological marvels.

ASML’s role as the orchestrator underscores the importance of a global supply chain in the semiconductor industry.

The relentless pursuit of excellence is evident in each component of the photolithography machine.

Editorial Team
Editorial Team
Articles: 1834