ASML tool

Chipmaking Monopoly: How ASML Became Undisputed Leader in Lithography

Any chip that goes out in the market, there is a 90% chance that it is fabricated one of ASML’s lithography machines.
Share this STORY

In the ever-evolving realm of semiconductor technology, one that company stands out as the undisputed leader in advanced semiconductor machine lithography is ASML.

As the driving force behind cutting-edge lithography solutions, it plays a pivotal role in shaping the future of the semiconductor industry.

Any chip that goes out in the market, there is a 90% chance that it is fabricated one of ASML’s lithography machines.

ASML is the most semiconductor important company you might have never heard of.

Join Our WhatsApp News

A Glimpse into ASML Lithography

ASML specializes in developing photolithography equipment, a crucial component in the semiconductor manufacturing process.

Its lithography machines utilize innovative technologies to imprint intricate circuit patterns onto silicon wafers with unparalleled precision. This precision is imperative as it directly impacts the performance and efficiency of the final semiconductor devices.

EUV has the burden to carry moore’s law ahead.

Figure 1. ASML’s EUV lithography machine(Source: ASML)

EUV Technology: ASML’s Ace in the Hole

At the heart of ASML’s technological supremacy lies its Extreme Ultraviolet (EUV) lithography technology. EUV lithography utilizes extremely short wavelengths of light, allowing for unprecedented precision in the creation of tiny features on semiconductor wafers. ASML’s EUV machines represent a quantum leap in lithography capabilities, enabling the production of chips with smaller nodes and increased transistor density.

Read More: What is EUV that made ASML the biggest company of Europe

ASML’s Top Clients: A Snapshot

Major players such as Intel, Samsung, and TSMC (Taiwan Semiconductor Manufacturing Company) rely on ASML’s cutting-edge lithography machines to manufacture the latest generation of microprocessors and memory chips. The company’s technology is the backbone of these semiconductor giants, enabling the production of smaller, more powerful, and energy-efficient chips.

They need EUV to keep Moore’s Law (no. of transistors on microchips doubles every 2 years) alive and continue to advance computing. Total ASML sales in 2020 was more than $16 Billion.

pastedGraphic_5.png

Read More: Canon Lithography Tool price will have one digit less than ASML EUVs: CEO

Origins and Humble Beginnings (1984-1990)

The ASML story commences in 1984 as a joint venture between Philips and Advanced Semiconductor Materials Int., emerging from the shadows of a shed behind a Philips building in Eindhoven, Netherlands. 

The venture’s inaugural product, the PA 2000 stepper, struggled against Japanese competitors like Nikon and Canon. However, in 1990, ASML took a bold step, spinning off as an independent company.

Rising to Prominence (1991-1995)

ASML’s breakthrough came in 1991 with a hit product, setting the stage for its initial public offering (IPO) in 1995. Notably, strategic acquisitions of U.S. lithography firms bolstered ASML’s market share, positioning it as a formidable contender alongside Nikon and Canon by the late 1990s.

Read More: TSMC Vs ASML: Comparing Q3 financials of 2 Semiconductor Giants

Betting on Innovation (2006-2014)

ASML aligned with Moore’s law, introducing the TWINSCAN system in 2006, using immersion lithography to boost chip circuit density. Simultaneously, they invested heavily in Extreme Ultraviolet (EUV) technology, a risky move that demanded significant overhauls for fabrication plants at major players like Samsung, Intel, and TSMC. Between 2008-2014, ASML allocated over $5 billion to EUV research.

Read More: China to Challenge ASML with a better technology than EUV

Navigating the Complexity of EUV Production

ASML’s role in EUV lithography mirrors Boeing’s significance in the aircraft industry. Serving as the integrator, ASML coordinates the contributions of over 4750 suppliers globally, each playing a crucial role in crafting these cutting-edge machines.

1. Tooling Equipment (United States): The heart of EUV lithography machines relies on specialized tooling equipment sourced from the United States, contributing to precision and intricacy in semiconductor manufacturing.

2. Chemicals (Japan): Japan supplies crucial chemicals vital for the EUV lithography process, leveraging the nation’s reputation for precision and innovation in chemical manufacturing.

3. Lens (Germany): Critical lenses, sourced from Germany, showcase the country’s excellence in optical technology, ensuring the EUV machines achieve unparalleled resolution and accuracy.

Read More: How ASML’s EUV Lithography Technology Made It Europe’s Most Valuable Company

Why can ASML only produce 50 EUV Lithography machines a year? 

  • Co-ordinating 1000s of suppliers is very difficult
  • ASML produces custom-made machines, each with over 30 variables 
  • Lead-times are long (speciality parts like the Zeiss lens takes 40 weeks to produce)

The delivery process is complex, too:

 Each EUV weighs 180 tons

  • A disassembled EUV takes up 40 shipping containers
  • Shipping it (mostly to Asia) takes 20 trucks and 3 Boeing 747s
  • ASML teams must be on-the-ground to maintain them
  • The minimum spend to house EUVs is $1Billion

Market Dominance and Future Prospects of ASML Lithography

The potential of EUV was so great that Intel, Samsung and TMSC — all competitors — jointly acquired 23% of ASML. Intel put up the most: €2.5B for a 15% share (today, the firms have sold down most their stakes). The first production-ready EUV machine was released in 2016.

Presently, ASML boasts an impressive 90% share in semiconductor lithography, encompassing EUV and Deep UV technologies. With substantial tailwinds propelling EUV adoption, especially in key sectors like automotive (Tesla chips), ASML anticipates sustained growth. The transition to the 5nm process further underscores the increasing demand for EUV technology.

Read More: How TSMC & ASML Monopolised the world?

Shifting Business Dynamics (today and beyond)

Over a 20-year lifespan, services-based sales could make up to 50% of the initial machine price, showcasing a strategic shift towards maintaining a growing installed base.

In conclusion, ASML’s evolution reflects the dynamic nature of the semiconductor industry, transitioning from humble beginnings to emerge as a leader in lithography technology.

From a Dutch shed to global dominance, ASML’s journey epitomizes lithographic excellence. As the architect of EUV technology, its integrative role mirrors a symphony, harmonizing global contributions. The intricate dance of U.S. tooling, Japanese chemicals, and German lenses underscores collaborative advancement. With a 90% market share, ASML stands at the forefront, illuminating the path forward in semiconductor manufacturing’s evolving landscape.

Share this STORY

Leave a Reply

Your email address will not be published. Required fields are marked *