What is EUV that made ASML the biggest company of Europe

The smaller and more powerful chips that are made possible by EUV lithography will have a major impact on our lives. They will make our devices faster, more energy-efficient, and more capable. They will also enable new applications that are not possible today, such as artificial intelligence and augmented reality.
Share this STORY

Introduction:

Semiconductor manufacturing is driven by a constant quest for innovation.Lithography technology, particularly EUV lithography, has been pivotal in this pursuit.

This lithography stands out as one of the latest and most promising advancements. It utilizes extreme ultraviolet light for crafting smaller, intricate features on semiconductor chips which allows higher power in latest devices or your iPhone.

ASML, a company bigger than airbus, is the only company in the world that manufactures these lithography machines. These machines are extremely complex and expensive, costing up to $200 million each.

However, they are essential for the production of the most advanced semiconductor chips.

ASML’s monopoly on EUV lithography has made it the biggest company in Europe. In 2022, it had a market capitalization of over €200 billion.

The company’s customers include the world’s leading semiconductor manufacturers, such as TSMC, Samsung, and Intel.

In this post, we’ll delve into the intricacies of lithography, its real-life applications, and provide an analogy to help you understand its significance.

Analogy: The EUV Camera Obscura

Think of EUV lithography like upgrading a camera obscura from ancient times.

In the camera obscura, light enters a room, projecting an image onto a surface. It’s similar to traditional lithography with larger features.

Now, imagine fitting the camera obscura with EUV light. The aperture gets smaller, allowing shorter wavelengths for sharper, detailed images, akin to lithography precision.

Read more: How ASML’s Lithography Technology Made It Europe’s Most Valuable Company

Real-Life Examples of EUV

Advanced Processors: One of the most immediate and impactful applications of this lithography can be seen in the production of advanced microprocessors. Companies like Intel, AMD, and TSMC have adopted EUV technology to create chips with smaller transistors, resulting in faster and more energy-efficient processors.

Memory Chips: This is not limited to processors; it is also transforming the memory chip industry. NAND and DRAM memory chips are crucial components in modern electronics. Extreme Ultra Voilet lithography enables manufacturers to increase the storage capacity of these chips while reducing their physical footprint.

Advanced Imaging Sensors: Beyond computing, this lithography has found applications in imaging sensors used in digital cameras, medical devices, and autonomous vehicles. These sensors require tiny, densely packed pixels to capture high-resolution images and provide accurate data.

Read more: How IMEC made ASML the biggest company in Europe?

EUV Vs DUV lithography

1. Wavelength of Light:

EUV Lithography: As the name suggests, EUV lithography uses extreme ultraviolet light with a very short wavelength, typically around 13.5 nanometers (nm). This extremely short wavelength allows for the creation of much smaller and finer features on semiconductor chips compared to DUV lithography.

DUV Lithography: DUV lithography, or Deep Ultraviolet lithography, uses light with longer wavelengths, typically in the range of 193 nm (ArF) or 248 nm (KrF).

2. Resolution and Feature Size:

EUV Lithography: This offers significantly higher resolution and the ability to create much smaller features on semiconductor chips. This is crucial for advanced semiconductor manufacturing as it allows for the production of smaller transistors and densely packed components, leading to more powerful and energy-efficient chips.

DUV Lithography: DUV lithography is limited by the longer wavelength of light it uses, which results in larger feature sizes and reduced resolution. While it has been used successfully for many years to produce semiconductor devices, it faces challenges in keeping up with the demands for smaller and more densely packed components in modern technology.

3. Complexity and Cost:

EUV Lithography: Implementing EUV lithography is technically challenging and expensive.

This light is sensitive to contamination, demanding a tightly controlled manufacturing setting. Developing these light sources and photomasks has been intricate.

Despite challenges, EUV’s advantages include smaller chip features and enhanced performance.It’s indispensable for advanced semiconductor nodes.

DUV Lithography: DUV lithography has been in use for a long time and is a more mature technology.

DUV lithography is cheaper than EUV but faces limits in achieving small feature sizes. These limitations may not meet the needs of future semiconductor nodes.

4. Applications:

EUV lithography is mainly employed in advanced semiconductor manufacturing.It’s used for cutting-edge processors, memory chips, imaging sensors, and high-performance electronics.

These applications demand smaller and densely packed features.

The primary difference between EUV lithography and DUV lithography lies in the wavelength of light they use, which directly affects their resolution and the size of features they can create.

History (1970-2000)

The history of EUV lithography reflects decades of research and collaboration in the semiconductor field.EUV emerged as a revolutionary technology, overcoming traditional optical lithography limitations. It promised to create smaller and more powerful semiconductor devices.

Here is an overview of the key milestones in the history of EUV lithography:

Early Concepts (1970s-1980s):

EUV lithography’s idea first appeared in the 1970s to overcome DUV lithography limitations. Shorter wavelengths in the EUV spectrum (around 13.5 nanometers) were seen as a way to achieve finer semiconductor wafer patterning.

1980s-1990s: Research and Development: Throughout the 1980s and 1990s, research into EUV lithography gained momentum. Various research institutions, universities, and semiconductor companies invested in developing EUV light sources. These efforts aimed to demonstrate the feasibility of using EUV light for semiconductor lithography.

Collaboration and Consortia (1990s-2000s): Recognizing the immense technical challenges involved in EUV lithography, several manufacturers formed consortia and collaborative efforts. For ex. EUV LLC consortium and the EUV Limited Liability Partnership (EUVL LLP), which included companies like Intel, AMD, and Nikon. These collaborations aimed to pool resources and expertise to advance Extreme Ultra Voilet technology.

History (2000-)

First Extreme Ultra Voilet Light Source (2002): In 2002, a significant breakthrough was achieved when researchers at Lawrence Berkeley National Laboratory, in collaboration with the EUV LLC consortium, developed the world’s first functional EUV light source. This was a crucial milestone, as it provided a viable source of the short-wavelength light required for semiconductor patterning.

Semiconductor Manufacturing (2010s): Over the course of the 2010s, EUV lithography began to transition from research into semiconductor manufacturing. Major semiconductor foundries, such as TSMC, Samsung, and GlobalFoundries, started incorporating Extreme Ultra Voilet technology into their advanced semiconductor nodes. This marked the beginning of commercial production using Extreme Ultra Voilet lithography for the production of chips.

Continued Advancements (2010s-Present): In recent years, EUV lithography has seen significant advancements in terms of light source power, mask technology, and photomask inspection. These advancements have enabled to meet the stringent requirements of advanced semiconductor nodes such as 5nm and even smaller nodes.

Future Prospects: EUV lithography continues to evolve, with ongoing research and development efforts aimed at pushing the technology even further. The development of higher-power sources, improved optics, and enhanced photoresists enables production of even smaller semiconductor features, driving innovation in various industries.

ASML is on track to ship the industry’s first extreme ultraviolet lithography scanner with a 0.55 numerical aperture (NA) this year, 2023. The company’s chief executive, Peter Wennink, said this week that the Twinscan EXE:5000 machine will be primarily used for development purposes and getting the company’s customers familiar with the new technology as well as its capabilities. Commercial usage of High-NA tools is slated for 2025 and beyond.

Conclusion:

In conclusion, EUV lithography is a groundbreaking technology that is driving the relentless pursuit of smaller and more powerful electronic devices.

Its adoption in various industries is paving the way for innovations that will shape the future of technology, from faster processors and advanced memory chips to high-quality imaging sensors.

Just as the camera obscura evolved into sophisticated imaging systems, this technology is evolving semiconductor manufacturing, illuminating the path toward even more compact and powerful electronic devices.

Share this STORY

One comment

  1. Have you ever considered publishing an e-book or guest
    authoring on other blogs? I have a blog based on the
    same ideas you discuss and would really like to have you share some stories/information. I know my viewers
    would value your work. If you’re even remotely interested,
    feel free to shoot me an email.

Comments are closed.