What is a Network on Chip Vs System on Chip?

To communicate data between different modules of SoC we use a network called ‘Network on Chip.
Share this STORY

Introduction

In modern chip design, where chips are like bustling cities and every component has its own story to tell, a System on Chip (SoC) emerges as the ultimate city, cramming processors, memory, and peripherals into a single bustling metropolis. But who keeps the traffic flowing smoothly in this bustling hub of activity? There are a Network on Chip (NoC) handles and manages the flow of data between these bustling neighborhoods.

A System on Chip (SoC) and a Network on Chip (NoC) are both technologies used in modern integrated circuits, but they serve different purposes:

System on a Chip (SoC):

  • An SoC is essentially a miniaturized computer system built onto a single chip.
  • It integrates various components like processors, memory, and peripherals, all working together as a complete system.
  • Think of it like a tiny computer motherboard on a single chip.

Network on a Chip (NoC):

  • An NoC, on the other hand, is a communication subsystem within an SoC.
  • It acts like a network that allows different parts of the SoC to talk to each other.
  • Imagine it as the internal freeway system connecting all the different components within the SoC.

Analogy:

  • SoC – A small city with all essential buildings (homes, shops, offices) built close together.
  • NoC – The road network within the city that allows people and goods to move between these buildings.

In this article we delve into the details of Network on Chip discussing their role, architectures and how it evolved to its present form.

Follow us on Linkedin for everything around Semiconductors & AI

Primer to System on Chips

In the world of Chip design, the concept of System on Chip (SoC) was revolutionary. SoC referred to the integration of multiple electronic components, such as processors, memory, and peripherals, onto a single chip. This consolidation of functionalities streamlined the manufacturing process, reduces costs, and enhances performance.

SoC has become the cornerstone of modern computing devices, powering everything from smartphones to IoT devices to complex computing systems. To communicate data between different modules of SoC we use a network called ‘Network on Chip’.

Defining Network on Chip (NoC)

A network on a chip or network-on-chip is a network-based communications subsystem on an integrated circuit, most typically between modules in a system on a chip (SoC). The modules on the IC are typically semiconductor IP cores schematizing various functions of the computer system and are designed to be modular in the sense of network science.

NoC technology applies the theory and methods of computer networking to on-chip communication. It uses routers to send data packets between modules on a System on Chip (SoC). Just like how roads direct traffic between different places, routers in the NoC guide the flow of data between different parts of the SoC.

Read More: UK’s First 300mm Wafer Fab: Giant Chip Factory for Ultra-Thin Flex ICs – techovedas

Importance of NoC in Modern Chip Design

NoC plays a pivotal role in addressing the escalating complexity of modern SoCs. As chip designs become increasingly complex, traditional bus-based architectures encounter limitations in terms of scalability, performance, energy efficiency, and flexibility. NoC emerges as a solution to these challenges, offering enhanced scalability, performance, energy efficiency, and customization capabilities.

Read More: What are Top 7 Customers of TSMC in 2023 – techovedas

The Evolution of Chip Design

Earlier, Chip designs relied on bus-based architectures for inter-component communication. While effective for simpler systems, these architectures face limitations as chip complexity increases. The transition from bus-based systems to NoC marks a significant shift in chip design philosophy.

Bus based architecture

Bus-based architecture is a traditional design approach where components within a System on Chip (SoC) are connected through a shared communication bus. In this architecture, data is transferred between components sequentially along the bus, leading to potential bottlenecks as the number of components increases.

Understanding Network on Chip

At the heart of NoC lies a set of basic principles aimed at optimizing communication within the SoC:

  • Components of NoC: NoC comprises routers, links, and network interfaces. Routers manage data packets’ routing within the network, while links establish connections between routers. And network interfaces serve as gateways between the for data to enter individual modules.
  • Facilitating Communication: NoC facilitates communication between SoC components by establishing a mesh-like network topology. Data packets traverse the network through designated routes, ensuring efficient and low-latency communication between various components.

How does data know where to go?

In a mesh-based Network on Chip (NoC), the XY routing algorithm provides a systematic approach to guide data packets from source to destination within the network. Imagine a 4×4 grid of routers forming the NoC topology, each identified by its unique X and Y coordinates.

Let’s consider a scenario where a data packet originates from router (0,0) and needs to reach router (3,3). Following the XY routing protocol, the packet first moves horizontally along the X-axis, advancing from router to router until it reaches the target X coordinate (3). Subsequently, it proceeds vertically along the Y-axis, navigating upwards until it reaches the target Y coordinate (3). This sequential movement from source to destination ensures that the packet traverses the network efficiently, guided solely by the X and Y coordinates of the routers.

Read More: Twice the Speed, Half the Power of Nvidia’s H100: Intel Releases AI Chip Gaudi 3 – techovedas

Advantages of Network on Chip

The adoption of NoC offers several advantages over traditional bus-based architectures:

  • Scalability: NoC efficiently supports a large number of cores, enabling the integration of increasingly complex components onto a single chip.
  • Performance: NoC enhances data throughput and reduces latency by providing a dedicated communication infrastructure for SoC components.
  • Energy Efficiency: NoC consumes lower power compared to traditional architectures, contributing to overall energy efficiency in computing systems.
  • Flexibility: NoC architectures are customizable to suit specific application needs, offering greater flexibility in chip design.

NoC Architectures

Several NoC architectures exist, each with its unique characteristics:

  • Mesh: Mesh NoC features a grid-like topology, with routers interconnected in a mesh pattern. It offers simplicity and scalability but may suffer from congestion in heavily loaded networks.
  • Torus: Torus NoC forms a topology resembling a torus or doughnut shape. It provides improved fault tolerance and reduced latency compared to mesh architectures.
  • Ring: Ring NoC employs a circular topology, where each router is connected to its adjacent routers. While simple in design, it may suffer from bandwidth limitations and potential congestion at certain points.

Read More: Nvidia, Amazon Pour $110 Million into AI with US- Japan University Alliance – techovedas

NoC in Real-World Applications

NoC has found widespread adoption in various real-world applications, including:

  • Data Centers: NoC architectures are utilized in data center SoCs to optimize communication between compute, storage, and networking components, enhancing overall system performance and efficiency.
  • Embedded Systems: In embedded systems, NoC facilitates communication between heterogeneous processing elements, enabling efficient utilization of resources and improved real-time responsiveness.
  • High-Performance Computing: NoC plays a crucial role in high-performance computing systems, enabling scalable communication between compute nodes and memory subsystems, thereby enhancing overall system performance.

Read More: OpenAI: A Chronology of Events from Humble beginnings to AI Superpower

Conclusion

In conclusion, the emergence of Network on Chip represents a paradigm shift in modern chip design, offering scalable, high-performance communication infrastructures for complex SoCs.

By overcoming the limitations of traditional bus-based architectures, NoC facilitates efficient communication between SoC components while enhancing scalability, performance, energy efficiency, and flexibility.

As chip designs continue to evolve, NoC will play a pivotal role in driving innovation and enabling the next generation of computing systems.

Share this STORY

Leave a Reply

Your email address will not be published. Required fields are marked *